当前位置: 首页 > 范文大全 > 公文范文 >

Max+plus,Ⅱ在“组合电路中竞争与冒险现象”课堂教学上的应用

时间:2022-03-24 09:16:01  浏览次数:

摘 要:“数字电路”课程中的“组合逻辑电路中的竞争与冒险现象”这部分内容是课堂讲解的一个重点和难点。为了加深学生对该抽象知识点的理解和掌握,以最简单的2输入与门为例,通过设计输入、编译、时序仿真、查看定时关系等操作步骤,介绍一种将Max+plus Ⅱ引入这一讲的具体教学方法。合理设置输入波形以后,通过Max+plus Ⅱ时序仿真的结果,不仅可以观察到输出端产生的“毛刺”,还可以通过计算找到产生的原因并采取有效的方法消除。课堂实践证明,此方法取得了良好的教学效果,大大提高了授课效率。 关键词:组合电路;竞争与冒险现象;毛刺;Max+plus Ⅱ;时序仿真

中图分类号:TN702文献标识码:A

文章编号:1004-373X(2009)19-128-03

Application of Max+plus Ⅱ in Class Teaching of the Phenomenon of Race and Competition

MIAO Changxin1,LI Guilin2

(1.Information and Electrical Engineering,China University of Mining and Technology,Xuzhou,221008,China;

2.Electronic Engineering and Automation,Xuzhou Normal University,Xuzhou,221116,china)

Abstract:The phenomenon of the race and competition is very important and difficult in teaching the″Digital Circuit″course.In order to improve the students′ understanding and griping on the abstract point,taking an ″2 gate″ as example,through the steps of design input,compiler,time sequence simulation and timing.An assistant method by applying Max+plus Ⅱ to the class teaching is introduced.Through the timing simulation result,it can not only view the ″hurr″ in the output,but also find the reason by computing and avoid it effectively.It proves that this method has improved the teaching quality greatly.

Keywords:combinational circuit;phenomenon of the race and competition;burr;Max+plus Ⅱ;time sequence simulation

0 引 言

组合逻辑电路中的“竞争与冒险”现象是“数字电路”课程中的一个重要知识点,对该知识点的正确理解和掌握,有助于学生在设计复杂数字系统时,正确判断是否存在冒险及如何避免冒险。对该内容的讲解,一般方法是:在假设存在一定传输延迟时间的基础上,分析当多路信号同时发生变化时,输出产生 “毛刺”的原因。这种教学方法只能让学生对该知识点的理解停留在表面,为了加深学生对该内容的理解和掌握,更大地提高授课效率,笔者尝试以Max+plus Ⅱ软件为工具,采用直接演示操作的方式[1,2]来讲解该部分内容。教学实践证明,由于Max+plus Ⅱ软件操作简单,并且它提供了很好的仿真及定时分析的作用,使得学生能够理论联系实际地加以学习和思考,从而对组合电路竞争与冒险现象的成因及消除有了更清晰的认识。

1 组合逻辑电路的竞争与冒险现象与Max+plus Ⅱ

“竞争与冒险现象”产生的关键原因是导线和单元器件造成的信号传输延时,同时当输入多路信号的电平值发生变化时,在信号变化的瞬间造成组合逻辑的输出有先后顺序,并不是同时变化,往往会出现一些不正确的尖峰信号,这些尖峰信号称为“毛刺”, 如果一个组合逻辑电路中有“毛刺”出现,就说明该电路存在“竞争冒险”现象[3-5]。

Max+plus Ⅱ是美国Altera公司推出的PLD开发系统,利用该软件系统提供的原理图编辑器、编译器、仿真器及定时分析器,可以很方便地观察输出电路中的“毛刺”,找出产生的原因并消除它[6,7]。

2 Max+plus Ⅱ在“竞争与冒险现象”的课堂教学中的应用

课堂上以最简单的与门为例进行操作演示说明。

2.1 原理图输入

打开Max+plus Ⅱ软件,新建一个原理图文件(Graphic Editor),将两输入与门(and2)及两个输入端口(input)及一个输出端口(output)从Symbol Libraries 中调出,连线,并将端口分别命名为A,B,C,如图1所示。电路设计好之后,保存文件并检查错误(“File”→“Projec”“t”→“save&check”)。

图1 两输入与门设计原理图

2.2 设计编译

首先打开菜单“Assign”→“Device”,为所设计的电路指定某个目标器件为FLEX10K系列,型号为EPF10k10lc84-4,如图2所示。然后打开“Assign”→“Pin/Location/Chip”给节点A,B,C分别分配引脚为1,2,3,如图3所示。

图2 选择器件(Device)对话框

图3 分配引脚对话框

然后运行编译器(Compiler),因为只有进行时序仿真才能观察到“毛刺”现象,所以,在编译前要设定时序仿真(Processing→Timing SNF Extractor),编译器将进行错误检查、网表提取、逻辑综合、器件适配,并产生仿真文件。

2.3 时序仿真

Max+plus Ⅱ支持功能仿真和时序仿真。时序仿真是在选择了具体器件并完成布局布线后进行的包含定时关系的仿真[8],只有通过时序仿真才可能查看到竞争与冒险现象。

新建一个波形图文件(Waveform Editor),因为要观察由于竞争而产生的“毛刺”现象,所以这里要注意设置一个信号同时发生变化的时刻,然后运行仿真器(Simulator),添加的输入波形及仿真运行结果如图4所示。

图4 时序仿真波形图

由仿真结果可以很清楚地看到,输出C的波形有一处为“毛刺”,即理论应该为逻辑0,可它却为逻辑1。

2.4 毛刺产生的原因及宽度计算

Max+plus Ⅱ提供的定时分析器(Timing Analyzer)可以用来分析所设计电路的时间性能,打开其中的延时矩阵分析模式,见图5,可以查看源节点和目标节点之间的传播延时。

图5 传播延时矩阵

由图5可得知:由A传输到C所造成的传输延迟时间为123 ns,由B输出到C所造成的传输延迟时间为12.8 ns,假设与门的内部延时是0.2 ns,则,对信号A进行简单计算:

信号A传输到C的总延迟时间=与门内部延迟时间+连线延迟时间=12.3 ns

连线延迟时间=12.1 ns

对信号B进行简单计算:

信号B传输到C的总延迟时间=与门内部延迟时间+连线延迟时间=12.8 ns

连线延迟时间 = 12.7 ns

那么,如果假定在time=0.0时刻,信号A由逻辑0值向逻辑1值跳变,信号B由逻辑1值向逻辑0值跳变,则当time=12.1 ns时,与门输入端A的值为1,输入端B的值也为1,这使得输出C在time=12.1+0.2=12.3 ns时刻出现逻辑值1,即为图5上的“毛刺”,该逻辑1值维持的时间为time=12.7-12.1=0.6 ns(即毛刺宽度为0.6 ns)。

2.5 毛刺消除

消除毛刺的方法很多,比如输出端引入D触发器、输入端引入选通脉冲等[9,10],图6即为引入选通脉冲后,仿真得出的波形。从图6可以看出,通过控制选通脉冲P的低电平时间,并保证在信号进入稳态时,在P高电平期间可使门电路有正常的输出,能很好地消除“毛刺 ”。

图6 引入选通脉冲P后的仿真波形

3 结 语

在同一个教学内容的学习中,可能有若干个学习环节, 而不同的学习环节其学习任务和目标是不同的,这

就带来了教学方法的多样性和综合性。有针对性的、多样化的教学手段与方法可以大大提高与改善教学效果,开拓学生思路。本文即介绍了一种将Max+plus Ⅱ引入数字电路“组合电路竞争与冒险现象”这一讲的教学方法,实践证明,该方法取得了良好的教学效果。

参考文献

[1]刘磊.Matlab在“数字电路”课程教学中的应用[J].电气电子教学学报,2008,30(5):79-81.

[2]王珺.NS-2网络仿真在“宽带交换技术”教学中的应用[J].电气电子教学学报,2008,30(5):73-75.

[3]阎石.数字电子技术基础[M].5版.北京:高等教育出版社,2008.

[4]刘军,杨悦,于湘珍.数字电路设计中竞争冒险的分析与研究[J].现代电子技术,2007,30(20):182-186.

[5]宣丽萍.FPGA 器件的竞争与冒险现象及消除方法[J].现代电子技术,2005,28(10):119-121.

[6]东方华人.Max+plus Ⅱ入门与提高[M].北京:清华大学出版社,2004.

[7]老虎工作室.Max+plus Ⅱ入门与提高[M].北京:人民邮电出版社,2002.

[8]王金明.数字系统设计与Verilog HDL[M].2版.北京:电子工业出版社,2005.

[9]江晓安.数字电子技术[M].西安:西安电子科技大学出版社,2002.

[10]林涛.数字电子技术基础[M].北京:清华大学出版社,2005.

[11]刘军,杨悦,于湘珍.数字电路设计中竞争冒险的分析与研究[J].现代电子技术,2007,30(20):182-186.

推荐访问: 组合 课堂教学 电路 现象 冒险
[Max+plus,Ⅱ在“组合电路中竞争与冒险现象”课堂教学上的应用]相关文章